Максимальная частота ацп. Принципы построения быстрых ацп

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

Введение

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.


Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Типы АЦП

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)
Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

Дельта-сигма АЦП

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к .

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

Y(s) = X(s)/(s+1) + E(s)s/(s+1)

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Немного истории

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Литература

W. Kester. ADC Architectures I: The Flash Converter. Analog Devices, MT-020 Tutorial.

65 нанометров - следующая цель зеленоградского завода «Ангстрем-Т», которая будет стоить 300-350 миллионов евро. Заявку на получение льготного кредита под модернизацию технологий производства предприятие уже подало во Внешэкономбанк (ВЭБ), сообщили на этой неделе «Ведомости» со ссылкой на председателя совета директоров завода Леонида Реймана. Сейчас «Ангстрем-Т» готовится запустить линию производства микросхем с топологией 90нм. Выплаты по прошлому кредиту ВЭБа, на который она приобреталась, начнутся в середине 2017 года.

Пекин обвалил Уолл-стрит

Ключевые американские индексы отметили первые дни Нового года рекордным падением, миллиардер Джордж Сорос уже предупредил о том, что мир ждет повторение кризиса 2008 года.

Первый российский потребительский процесор Baikal-T1 ценой $60 запускают в массовое производство

Компания «Байкал Электроникс» в начале 2016 года обещает запустить в промышленное производство российский процессор Baikal-T1 стоимостью около $60. Устройства будут пользоваться спросом, если этот спрос создаст государство, говорят участники рынка.

МТС и Ericsson будут вместе разрабатывать и внедрять 5G в России

ПАО "Мобильные ТелеСистемы" и компания Ericsson заключили соглашения о сотрудничестве в области разработки и внедрения технологии 5G в России. В пилотных проектах, в том числе во время ЧМ-2018, МТС намерен протестировать разработки шведского вендора. В начале следующего года оператор начнет диалог с Минкомсвязи по вопросам сформирования технических требований к пятому поколению мобильной связи.

Сергей Чемезов: Ростех уже входит в десятку крупнейших машиностроительных корпораций мира

Глава Ростеха Сергей Чемезов в интервью РБК ответил на острые вопросы: о системе «Платон», проблемах и перспективах АВТОВАЗа, интересах Госкорпорации в фармбизнесе, рассказал о международном сотрудничестве в условиях санкционного давления, импортозамещении, реорганизации, стратегии развития и новых возможностях в сложное время.

Ростех "огражданивается" и покушается на лавры Samsung и General Electric

Набсовет Ростеха утвердил "Стратегию развития до 2025 года". Основные задачи – увеличить долю высокотехнологичной гражданской продукции и догнать General Electric и Samsung по ключевым финансовым показателям.

Расширение сетей беспроводной передачи данных с использованием все более высоких несущих частот и скоростей передачи данных ставит все более актуальные задачи усовершенствования оцифровки сигналов. Это означает, что возрастает спрос на более усовершенствованные аналого-цифровые преобразователи АЦП. Для удовлетворения современных требований появились аналого-цифровые преобразователи с частотой дискретизации более 1 ГГц. В данной статье будет рассматриваться использование более быстрых АЦП при разработке новых приложений, а также при модернизации старых.

Вспоминаем правило Найквиста

При выборе аналого-цифрового преобразователя для высокочастотного устройства следует помнить, что частота дискретизации АЦП должна быть в два или более раза выше пропускной способности сигнала, подлежащего оцифровке. Такую частоту дискретизации называют частотой Найквиста. Обратите внимание, что используется термин «пропускная способность», а не «частота». Если входной сигнал отличен от синусоиды, то он считается комплексным. Например, импульс, который состоит из основной синусоиды и кратных гармоник в соответствии с теоремой Фурье. Модулированные сигналы также содержат широкий диапазон частот, которые необходимо учитывать при выборе частоты дискретизации.

Рассмотрим прямоугольную волну, состоящую из основной частоты синусоидальной волны и бесконечного числа нечетных гармоник. Для прямоугольного сигнала с частотой 300 МГц частота дискретизации АЦП должна быть как минимум в два раза больше частоты пятой гармоники, или 3 ГГц. Более сложные сигналы, такие как радарные или модулированные сигналы, требуют аналогично высоких темпов обработки, чтобы точно улавливать все детали сигнала.

Примером может послужить приемное устройство станции обработки LTE Advanced сигналов, которые используют агрегацию носителей для более высокой пропускной способности и увеличения скорости передачи данных. Несколько стандартных 20 МГц LTE каналов группируют для обеспечения 40-, 80-, 160 МГц полосы пропускания, чтоб обеспечить более высокую пропускную способность OFDM.

Применение высокоскоростных АЦП в различных системах

В основном высокоскоростные АЦП применяются в программно-определяемых устройствах радиосвязи (SDR). Большинство современных SDR используют архитектуру прямого преобразования (zero IF), в которой входной сигнал оцифровывается непосредственно уже после фильтрации и усиления. При работе с сигналами дециметровой или высокой частоты (ДМВ или СВЧ) аналого – цифровой преобразователь должен иметь высокую частоту дискретизации. Один из примеров – сотовый приемник базовой станции.

Также высокоскоростные АЦП могут применятся и в других системах, таких как системы РЭБ (радиоэлектронной борьбы), записывающих RF системах, в радиолокационном оборудовании. Очень часто применяют высокоскоростные аналого-цифровые преобразователи и в измерительной технике, оборудовании рефлектомерии (OTDR). Является важной частью приемников цифровых предыискажений, используемых в линейных радиочастотных усилителях мощности.

Ниже показана блок схема ADC32RF45 Texas Instruments используемая в SDR приемниках прямого преобразования:

Входной полосовой фильтр выбирает требуемый сигнал, малошумящий усилитель усиливает его, после чего сигнал попадает на цифровой усилитель с переменным коэффициентом усиления, который обеспечивает надлежащий уровень входного сигнала для аналого-цифрового преобразователя. Внеполосные фильтры предотвращают наложения спектров. АЦП работает с внешним синтезатором PLL и очистителем джиттера. Он подключается к процессору DSP с помощью интерфейса JESD2048.

Среди продуктов, которые используют ADC32RF45, присутствуют и Pentek’s FlexorSet Software Radio Modules. Данные модули разработаны для помощи инженерам при проектировании специализированного оборудования связи и для проведения экспериментов с различным оборудованием SDR. Модули предлагают два канала АЦП и два канала ЦАП (). Xilinx FPGA с внутренним программным обеспечением для сбора данных и генерации сигнала ЦАП облегчает проведение экспериментов.

Требования при проектировании

Наиболее важным этапом проектирования с применением ADC32RF45 будет правильный подбор элементов входной цепи. В частности, сглаживающие внеполосные фильтры должны соответствовать входному сопротивлению АЦП. Это имеет важное значение для обеспечения максимума в полосе плоскостности фильтра и желательно вне зоны отторжения.

Для упрощения проекта рекомендуется использовать S параметры (параметры рассеивания). S-параметры в частотной области связанны с величинами моделирования поведения радиочастотных схем и компонентов. Эти комплексные значения, как правило, представлены в матричной форме, которой можно манипулировать, чтобы проиллюстрировать поведение и производительность схем и компонентов. Они предпочтительнее при проектировании систем связанных с линиями передач, фильтров и других высокочастотных устройств.

Кроме того, полная эталонная конструкция с модулем оценки (EVM) поможет ускорить и упростить процесс проектирования.

Давайте рассмотрим основной спектр вопросов, которые можно отнести к принципу действия разных типов. Последовательный счет, поразрядное уравновешивание - что скрывается за этими словами? В чем заключается принцип работы АЦП микроконтроллера? Эти, а также ряд других вопросов мы рассмотрим в рамках статьи. Первые три части мы посвятим общей теории, а с четвертого подзаголовка будем изучать принцип их работы. Вы можете в различной литературе встречать термины АЦП и ЦАП. Принцип работы этих устройств немного различается, поэтому не путайте их. Так, в статье будет рассматриваться из аналоговой формы в цифровую, в то время как ЦАП работает наоборот.

Определение

Прежде чем рассматривать принцип работы АЦП, давайте узнаем, что это за устройство. Аналого-цифровые преобразователи являются приборами, которые физическую величину превращают в соответствующее числовое представление. В качестве начального параметра может выступать практически всё что угодно - ток, напряжение, емкость, сопротивление, угол поворота вала, частота импульсов и так далее. Но чтобы иметь определённость, мы будем работать только с одним преобразованием. Это "напряжение-код". Выбор такого формата работы не случаен. Ведь АЦП (принцип работы этого устройства) и его особенности в значительной мере зависят от того, какое понятие измерения используется. Под этим понимают процесс сравнения определённой величины с ранее установленным эталоном.

Характеристики АЦП

Основными можно назвать разрядность и частоту преобразования. Первую выражают в битах, а вторую - в отсчетах на секунду. Современные аналого-цифровые преобразователи могут обладать разрядностью 24 бита или скоростью преобразования, которая доходит до единиц GSPS. Обратите внимание, что АЦП может одновременно предоставлять вам в использование только одну свою характеристику. Чем большие их показатели, тем сложнее работать с устройством, да и оно само стоит дороже. Но благо можно получить необходимые показатели разрядности, пожертвовав скоростью работы прибора.

Типы АЦП

Принцип работы разнится у различных групп устройств. Мы рассмотрим следующие типы:

  1. С прямым преобразованием.
  2. С последовательным приближением.
  3. С параллельным преобразованием.
  4. Аналого-цифровой преобразователь с балансировкой заряда (дельта-сигма).
  5. Интегрирующие АЦП.

Есть много других конвейерных и комбинированных типов, которые обладают своими особенными характеристиками с разной архитектурой. Но те образцы, которые будут рассматриваться в рамках статьи, представляют интерес благодаря тому, что они играют показательную роль в своей нише устройств такой специфики. Поэтому давайте будем изучать принцип работы АЦП, а также его зависимость от физического устройства.

Прямые аналого-цифровые преобразователи

Они стали весьма популярными в 60-70-х годах прошлого столетия. В виде производятся с 80-х гг. Это весьма простые, даже примитивные устройства, которые не могут похвастаться значительными показателями. Их разрядность обычно составляет 6-8 бит, а скорость редко превышает 1 GSPS.

Принцип работы АЦП данного типа таков: на плюсовые входы компараторов одновременно поступает входной сигнал. На минусовые выводы подается напряжение определённой величины. А затем устройство определяет свой режим работы. Это делается благодаря опорному напряжению. Допустим, что у нас есть устройство, где 8 компараторов. При подаче ½ опорного напряжения будет включено только 4 из них. Приоритетным шифратором сформируется который и зафиксируется выходным регистром. Относительно достоинств и недостатков можно сказать, работы позволяет создавать быстродействующие устройства. Но для получения необходимой разрядности приходится сильно попотеть.

Общая формула количества компараторов выглядит таким образом: 2^N. Под N необходимо поставить количество разрядов. Рассматриваемый ранее пример можно использовать ещё раз: 2^3=8. Итого для получения третьего разряда необходимо 8 компараторов. Таков принцип работы АЦП, которые были созданы первыми. Не очень удобно, поэтому в последующем появились другие архитектуры.

Аналого-цифровые преобразователи последовательного приближения

Здесь используется алгоритм «взвешивания». Сокращенно устройства, работающие по такой методике, называют просто АЦП последовательного счета. Принцип работы таков: устройством измеряется величина входного сигнала, а потом она сравнивается с числами, которые генерируются по определённой методике:

  1. Устанавливается половина возможного опорного напряжения.
  2. Если сигнал преодолел предел величины из пункта №1, то сравнивается с числом, которое лежит посредине между оставшимся значением. Так, в нашем случае это будет ¾ опорного напряжения. Если опорный сигнал не дотягивает до этого показателя, то сравнение будет проводиться с другой частью интервала по такому же принципу. В данном примере это ¼ опорного напряжения.
  3. Шаг 2 необходимо повторить Н раз, что даст нам Н бит результата. Это благодаря проведению Н количества сравнений.

Данный принцип работы позволяет получать устройства с относительной высокой скоростью преобразования, которыми и являются АЦП последовательного приближения. Принцип работы, как видите, прост, и данные приборы отлично подходят для различных случаев.

Параллельные аналого-цифровые преобразователи

Они работают подобно последовательным устройствам. Формула расчета - (2^Н)-1. Для рассматриваемого ранее случая нам понадобится (2^3)-1 компараторов. Для работы используется определённый массив этих устройств, каждое из которых может сравнивать входное и индивидуальное опорное напряжение. Параллельные аналого-цифровые преобразователи являются довольно быстрыми приборами. Но принцип построения этих устройств таков, что для поддержки их работоспособности необходима значительная мощность. Поэтому использовать их при батарейном питании нецелесообразно.

Аналого-цифровой преобразователь с поразрядным уравновешиванием

Он действует по похожей схеме, что и предыдущее устройство. Поэтому чтобы объяснить функционирование АЦП поразрядного уравновешивания, принцип работы для начинающих будет рассмотрен буквально на пальцах. В основе данных устройств лежит явление дихотомии. Иными словами, проводится последовательное сравнение измеряемой величины с определённой частью максимального значения. Могут браться значения в ½, 1/8, 1/16 и так далее. Поэтому аналого-цифровой преобразователь может выполнить весь процесс за Н итераций (последовательных шагов). Причем Н равняется разрядности АЦП (посмотрите на ранее приведённые формулы). Таким образом, мы имеем значительный выигрыш во времени, если особенно важным является быстродействие техники. Несмотря на значительную скорость, эти устройства также характеризуются низкой статической погрешностью.

Аналого-цифровые преобразователи с балансировкой заряда (дельта-сигма)

Это самый интересный тип устройства, не в последнюю очередь благодаря своему принципу работы. Он заключается в том, что происходит сравнение входного напряжения с тем, что накопилось интегратором. На вход подаются импульсы с отрицательной или положительной полярностью (всё зависит от результата предыдущей операции). Таким образом, можно сказать, что подобный аналого-цифровой преобразователь является простой следящей системой. Но это только как пример для сравнения, чтобы вы могли понимать, АЦП. Принцип работы системный, но для результативного функционирования этого аналого-цифрового преобразователя мало. Конечным результатом является нескончаемый поток единиц и нулей, который идёт через цифровой ФНЧ. Из них формируется определённая битная последовательность. Различают АЦП-преобразователи первого и второго порядков.

Интегрирующие аналого-цифровые преобразователи

Это последний частный случай, который будет рассмотрен в рамках статьи. Далее мы будем описывать принцип работы данных устройств, но уже на общем уровне. Этот АЦП является аналого-цифровым преобразователем с двухтактным интегрированием. Встретить подобное устройство можно в цифровом мультиметре. И это не удивительно, ведь они обеспечивают высокую точность и одновременно хорошо подавляют помехи.

Теперь давайте сосредоточимся на его принципе работы. Он заключается в том, что входным сигналом заряжается конденсатор на протяжении фиксированного времени. Как правило, этот период составляет единицу частоты сети, которая питает устройство (50 Гц или 60 Гц). Также он может быть кратным. Таким образом, подавляются высокочастотные помехи. Одновременно нивелируется влияние нестабильного напряжения сетевого источника получения электроэнергии на точность полученного результата.

Когда оканчивается время заряда аналого-цифрового преобразователя, конденсатор начинает разряжаться с определённой фиксированной скоростью. Внутренний счетчик устройства считает количество тактовых импульсов, которые формируются во время этого процесса. Таким образом, чем больше временной промежуток, тем значительнее показатели.

АЦП двухтактного интегрирования обладают высокой точностью и Благодаря этому, а также сравнительно простой структуре построения они выполняются как микросхемы. Основной недостаток такого принципа работы - зависимость от показателя сети. Помните, что его возможности привязаны к длительности частотного периода источника питания.

Вот как устроен АЦП двойного интегрирования. Принцип работы данного устройства хотя и является довольно сложным, но он обеспечивает качественные показатели. В некоторых случаях такое бывает просто необходимым.

Выбираем АПЦ с необходимым нам принципом работы

Допустим, перед нами стоит определенная задача. Какое выбрать устройство, чтобы оно могло удовлетворить все наши запросы? Для начала давайте поговорим про разрешающую способность и точность. Очень часто их путают, хотя на практике они очень слабо зависят один от второго. Запомните, что 12-разрядный аналого-цифровой преобразователь может иметь меньшую точность, чем 8-разрядный. В этом случае разрешение - это мера того, какое количество сегментов может быть выделено с входного диапазона измеряемого сигнала. Так, 8-разрядные АЦП обладают 2 8 =256 такими единицами.

Точность - это суммарное отклонение полученного результата преобразования от идеального значения, которое должно быть при данном входном напряжении. То есть первый параметр характеризует потенциальные возможности, которые имеет АЦП, а второй показывает, что же мы имеем на практике. Поэтому нам может подойти и более простой тип (например, прямые аналого-цифровые преобразователи), который позволит удовлетворить потребности благодаря высокой точности.

Чтобы иметь представление о том, что нужно, для начала необходимо просчитать физические параметры и построить математическую формулу взаимодействия. Важными в них являются статические и динамические погрешности, ведь при использовании различных компонентов и принципов построение устройства они будут по-разному влиять на его характеристики. Более детальную информацию можно обнаружить в технической документации, которую предлагает производитель каждого конкретного прибора.

Пример

Давайте рассмотрим АЦП SC9711. Принцип работы данного устройства сложен ввиду его размера и возможностей. Кстати, говоря о последних, необходимо заметить, что они по-настоящему разнообразные. Так, к примеру, частота возможной работы колеблется от 10 Гц до 10 МГц. Иными словами, оно может делать 10 млн отсчетов в секунду! Да и само устройство не является чем-то цельным, а имеет модульную структуру построения. Но используется оно, как правило, в сложной технике, где необходимо работать с большим количеством сигналов.

Заключение

Как видите, АЦП в своей основе имеют различные принципы работы. Это позволяет нам подбирать устройства, которые удовлетворят возникшие запросы, и при этом позволят разумно распорядиться имеющимися средствами.

12 09 2013 - Норвуд, штат Массачусетс, США

    Компания Analog Devices, Inc. (NASDAQ: ADI) представила 18-разрядный аналого-цифровой преобразователь (АЦП) семейства PulSAR® с пропускной способностью 5 миллионов отсчетов в секунду (MSPS), что в два раза превышает быстродействие любого доступного на сегодняшний день преобразователя последовательного приближения (successive-approximation register, SAR). Благодаря передовой пропускной способности, наилучшему среди продуктов данного класса шумовому порогу и высокой линейности АЦП AD7960 PulSAR отлично подходит для применения в малопотребляющих схемах, мультиплексированных системах, например, в цифровых приборах рентгенографии, а также устройствах с избыточной дискретизацией, включая приборы для спектроскопии, управления градиентом в магнитно-резонансной томографии и хроматографического анализа газов.

    В отличие от других 18-разрядных АЦП, в которых повышение частоты дискретизации достигается ценой роста потребляемой мощности и ухудшения точности, AD7960 потребляет 39 мВт при частоте дискретизации 5 MSPS и оптимизирован для поддержания превосходной линейности в статическом режиме (интегральная нелинейность +/- 0.8 LSB) и высоких динамических характеристик (отношение сигнал-шум 99 дБ) даже при максимальном быстродействии. Этот новый преобразователь также обладает наилучшим среди продуктов данного класса отношением шумового порога (22.4 нВ/√Гц) к полной шкале входного сигнала. Малые габариты корпуса помогают разработчикам уложиться в жесткие требованиям к размерам, тепловым характеристикам и энергопотреблению, которые характерны для систем с большим количеством каналов.

    Также компания Analog Devices представила 16-разрядный АЦП семейства PulSAR AD7961, который поддерживает превосходные показатели отношения сигнал-шум (95.5 дБ) и интегральной нелинейности (+/- 0.2 LSB) при быстродействии 5 MSPS.

    • Загрузите техническое описание, посмотрите видеоматериал, закажите образцы и оценочные платы:
    • Типовое схемотехническое решение Circuits from the Lab: Прецизионная, малопотребляющая 18-разрядная сигнальная цепочка для системы сбора данных с быстродействием 5 MSPS
    • Общайтесь с другими разработчиками и экспертами по продуктам компании Analog Devices в онлайн-сообществе технической поддержки EngineerZone™:

    АЦП PulSAR AD7960 и AD7691 ориентированы на системы сбора данных

    Совместимые по выводам АЦП PulSAR AD7961 и AD7960 позволяют создавать легко модифицируемые 16-/18-разрядные системы сбора данных для промышленности и здравоохранения. Они имеют конфигурируемый, малошумящий интерфейс LVDS (low-voltage differential signaling), который позволяет получать данные из преобразователя на скоростях до 300 МГц.

    Цена и доступность для заказа

    Продукт
    Доступность образцов/ Серийное производство Разрешение
    ОСШ (тип.)
    Темп. диапазон
    Цена за штуку при заказе 1000 штук Корпус
    AD7960
    Сейчас
    18 бит
    от -40°C до 85°C
    $31.00

    32-выводный LFCSP

    AD7961
    Сейчас
    16 бит
    95.5 дБ
    от -40°C до 85°C
    $21.00

    32-выводный LFCSP

    AD7960 может быть использован совместно с малопотребляющим усилителем ADA4897 с rail-to-rail диапазоном выходных напряжений (размах напряжения до напряжений питания) , усилителем AD8031 с rail-to-rail диапазоном входных и выходных напряжений , а также источниками опорного напряжения ADR4540 или ADR4550 для построения полнофункциональной малопотребляющей, прецизионной сигнальной цепочки.

  • О компании Analog Devices
    • Инновации, высокие технические характеристики и непревзойденное качество продукции - вот те фундаментальные основы, которые позволили компании Analog Devices на протяжении многих лет быть одной из наиболее финансово успешных компаний на рынке электронных компонентов. Мировой лидер в области технологий обработки сигналов и преобразования данных, компания Analog Devices сотрудничает с более чем 60000 потребителей практически во всех отраслях электронной промышленности. Штаб-квартира Analog Devices находится в Норвуде, штат Массачусетс, США, а дизайн-центры и производственные площадки рассредоточены по всему миру. Компания Analog Devices включена в биржевой индексный список S&P 500.
  • Подпишитесь на журнал , еженедельный технический журнал ADI.

    PulSAR является зарегистрированной торговой маркой компании Analog Devices, Inc.

  • Редакторы - контактная информация:

Будьте в курсе
 
Статьи по теме:
Нтв плюс - установка, подключение, настройка спутниковых антенн
Вместе с окончанием перехода спутникового телевидения НТВ плюс на стандарт mpeg4 были запущены новые частоты каналов. Настройки обновленных параметров указаны в таблице с разделением по транспондерам. Во всех официально рекомендованных ресиверах достаточн
Планшеты самсунг таб s 10
Недавно мы познакомились с планшетом Samsung Galaxy Tab S 8.4 . Теперь пришло время поговорить и о его «старшем товарище» - Samsung Galaxy Tab S 10.5. Как и Tab 8.4, он был представлен в России 1 июля, а продажи начались 11 июля. В репортаже с презентации
Прошивка Meizu M5 Note M621Q
Meizu M5 Note 32Gb работает под управлением операционной системы . Его производительность оценена в 3 из 5 (в своем сегменте). Этот смартфон - достаточно производительный. Здесь опубликованы характеристики девайса, инструкция, как сделать сброс настроек
Мониторинг трафика в локальной сети
23.05.16 45.3K Многие администраторы сетей часто сталкиваются с проблемами, разобраться с которыми поможет анализ сетевого трафика. И здесь мы сталкиваемся с таким понятием, как анализатор трафика. Так что же это такое? Анализаторы и коллекторы NetFl